Publikationen

2014

207.
Ünsal, Ufuk; Moser, Steffen; Slomka, Frank
Performance Comparison and Optimization of Channel Coding for Acoustic Communication in Shallow Waters
9th ACM International Conference on Underwater Networks & Systems (WUWNet'14), Rome, Italy,
November 2014
Datei:pdf/fileadmin/website_uni_ulm/iui.inst.050/publications/UensalMS2014.pdf
206.
Aliee, Hananeh; Glaß, Michael; Khosravi, Faramarz; Teich, Jürgen
An Efficient Technique for Computing Importance Measures in Automatic Design of Dependable Embedded Systems
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Seite 3:1-3:10
International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
New Delhi, India
Oktober 2014
DOI:10.1145/2656075.2656079
205.
Weichslgartner, Andreas; Gangadharan, Deepak; Wildermann, Stefan; Glaß, Michael; Teich, Jürgen
DAARM: Design-Time Application Analysis and Run-Time Mapping for Predictable Execution in Many-Core Systems
In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Seite 34:1--34:10
International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
New Delhi, India
Oktober 2014
DOI:10.1145/2656075.2656083
204.
Graf, Sebastian; Reimann, Felix; Glaß, Michael; Teich, Jürgen
Towards Scalable Symbolic Routing for Multi-Objective Networked Embedded System Design and Optimization
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Seite 2:1-2:10
International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
New Delhi, India
Oktober 2014
DOI:10.1145/2656075.2656102
203.
[en-US] Roeser, Nicolas; Luppold, Arno; Falk, Heiko
Multi-Criteria Optimization of Hard Real-Time Systems
Proceedings of the 8th Junior Researcher Workshop on Real-Time Computing (JRWRTC), Seite 49-52
JRWRTC 2014
Versailles, FR
2014-10-07
Herausgeber: Versailles / France
Oktober 2014
202.
[en] Luppold, Arno; Falk, Heiko
Schedulability-Oriented WCET-Optimization of Hard Real-Time Multitasking Systems
Proceedings of the 8th Junior Researcher Workshop on Real-Time Computing (JRWRTC), Seite 9-12
JRWRTC 2014
Versailles, FR
2014-10-07
Herausgeber: Versailles / France
Oktober 2014
201.
Wang, Bo; Xu, Yang; Rosales, Rafael; Hasholzner, Ralph; Glaß, Michael; Teich, Jürgen
End-to-End Power Estimation for Heterogeneous Cellular LTE SoCs in Early Design Phases
Proceedings of the International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Seite 1-8
International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS)
Palma de Mallorca, Spain
September 2014
DOI:10.1109/PATMOS.2014.6951904
200.
Zhang, Liyuan; Falk, Joachim; Schwarzer, Tobias; Glaß, Michael; Teich, Jürgen
Communication-driven Automatic Virtual Prototyping for Networked Embedded Systems
Proceedings of the Euromicro Conference on Digital Systems Design (DSD), Seite 435-442
Euromicro Conference on Digital Systems Design (DSD)
Verona, Italy
August 2014
DOI:10.1109/DSD.2014.88
199.
Graf, Sebastian; Glaß, Michael; Teich, Jürgen; Lauer, Christoph
Design Space Exploration for Automotive E/E Architecture Component Platforms
In Proceedings of the Euromicro Conference on Digital Systems Design (DSD), Seite 651-654
Euromicro Conference on Digital Systems Design (DSD)
Verona, Italy
August 2014
DOI:10.1109/DSD.2014.43
198.
Kempf, Kilian; Slomka, Frank
Direct handling of infeasible paths in the event dependency analysis
Embedded and Real-Time Computing Systems and Applications (RTCSA), 2014 IEEE 20th International Conference on, Seite 1--10
IEEE
August 2014
197.
Seyler, Jan R.; Rahimov, Shurat; Streichert, Thilo; Glaß, Michael; Teich, Jürgen
DPSK Modulated Wakeup Mechanism for Point-to-Point Networks with Partial Network Support
Proceedings of the 9th IEEE International Symposium on Industrial Embedded Systems (SIES), Seite 238-243
9th IEEE International Symposium on Industrial Embedded Systems (SIES)
Pisa, Italy
Juli 2014
DOI:10.1109/SIES.2014.6871209
196.
Chattopadhyay, Sudipta; Chong, Lee Kee; Roychoudhury, Abhik; Kelter, Timon; Marwedel, Peter; Falk, Heiko
A Unified WCET Analysis Framework for Multicore Platforms
ACM Transactions on Embedded Computing Systems (TECS), 13 (4s)
Juli 2014
Herausgeber: ACM
DOI:10.1145/2584654
195.
Reimann, Felix; Glaß, Michael; Teich, Jürgen; Cook, Alejandro; Rodrguez Gmez, Laura; Ull, Dominik; Wunderlich, Hans-Joachim; Abelein, Ulrich; Engelke, Piet
Advanced Diagnosis: SBST and BIST Integration in Automotive E/E Architectures
Proceedings of 51st Design Automation Conference (DAC), Seite 8
51st Design Automation Conference (DAC)
San Francisco, U.S.A.
Juni 2014
DOI:10.1145/2593069.2602971
194.
Rosales, Rafael; Glaß, Michael; Teich, Jürgen; Wang, Bo; Xu, Yang; Hasholzner, Ralph
MAESTRO - Holistic Actor-Oriented Modeling of Nonfunctional Properties and Firmware Behavior for MPSoCs
ACM Transactions on Design Automation of Electronic Systems (TODAES), 19 (3) :23:1-23:26
Juni 2014
DOI:10.1145/2594481
193.
Khosravi, Faramarz; Reimann, Felix; Glaß, Michael; Teich, Jürgen
Multi-Objective Local-Search Optimization using Reliability Importance Measuring
Proceedings of 51st Design Automation Conference (DAC), Seite 6
51st Design Automation Conference (DAC)
San Francisco, U.S.A.
Juni 2014
DOI:10.1145/2593069.2602971
192.
Herkersdorf, Andreas; Aliee, Hananeh; Engel, Michael; Glaß, Michael; Gimmler-Dumont, Christina; Henkel, Jörg; B. Kleeberger, Veit; A. Kochte, Michael; M. Kühn, Johannes; Mueller-Gritschneder, Daniel; R. Nassif, Sani; Rauchfuss, Holm; Rosenstiel, Wolfgang; Schlichtmann, Ulf; Shafique, Muhammad; B. Tahoori, Mehdi; Teich, Jürgen; Wehn, Norbert; Weis, Christian; Wunderlich, Hans-Joachim
Resilience Articulation Point (RAP): Cross-layer dependability modeling for nanometer system-on-chip resilience
Microelectronics Reliability, 54 (6) :1066-1074
Juni 2014
DOI:10.1016/j.microrel.2013.12.012
191.
Davis, Rob; Feld, Timo; Pollex, Victor; Slomka, Frank
Schedulability Tests for Tasks with Variable Rate-Dependent Behaviour under Fixed Priority Scheduling
20th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS)
April 2014
190.
Bund, Tobias; Slomka, Frank
Controller/Platform Co-Design of Networked Control Systems Based on Density Functions
Fourth Workshop on Design, Modeling and Evaluation of Cyber Physical Systems (CyPhy'14),
Berlin
April 2014
DOI:10.1145/2593458.2593467
189.
Seyler, Jan; Streichert, Thilo; Warkentin, Juri; Spägele, Matthias; Glaß, Michael; Teich, Jürgen
A Self-Propagating Wakeup Mechanism for Point-to-Point Networks with Partial Network Support
In Proceedings of Design, Automation, and Test in Europe (DATE), Seite 6
Design, Automation, and Test in Europe (DATE)
Dresden, Germany
März 2014
DOI:10.7873/DATE2014.019
188.
Schlichtmann, Ulf; Kleeberger, Veit B.; Abraham, Jacob A.; Evans, Adrian; Gimmler-Dimont, Christina; Glaß, Michael; Herkersdorf, Andreas; Nassif, Sani R.; Wehn, Norbert
Connecting Different Worlds - Technology Abstraction for Reliability-Aware Design and Test
Proceedings of Design, Automation, and Test in Europe (DATE), Seite 8
Design, Automation, and Test in Europe (DATE)
Dresden, Germany
März 2014
DOI:10.7873/DATE.2014.265
187.
Wildermann, Stefan; Glaß, Michael; Teich, Jürgen
Multi-Objective Distributed Run-time Resource Management for Many-Cores
In Proceedings of Design, Automation and Test in Europe (DATE 2014), Seite 6
Design, Automation and Test in Europe (DATE 2014)
Dresden, Germany
März 2014
DOI:10.7873/DATE2014.234
186.
Graf, Sebastian; Glaß, Michael; Teich, Jürgen; Lauer, Christoph
Multi-Variant-based Design Space Exploration for Automotive Embedded Systems
Proceedings of Design, Automation, and Test in Europe (DATE), Seite 6
Design, Automation, and Test in Europe (DATE)
Dresden, Germany
März 2014
DOI:10.7873/DATE.2014.020
185.
Abelein, Ulrich; Cook, Alejandro; Engelke, Piet; Glaß, Michael; Reimann, Felix; Rodrguez Gmez, Laura; Russ, Thomas; Teich, Jürgen; Ull, Dominik; Wunderlich, Hans-Joachim
Non-Intrusive Integration of Advanced Diagnosis Features in Automotive E/E-Architectures
Proceedings of Design, Automation, and Test in Europe (DATE), Seite 6
Design, Automation, and Test in Europe (DATE)
Dresden, Germany
März 2014
DOI:10.7873/DATE.2014.373
184.
Kelter, Timon; Falk, Heiko; Marwedel, Peter; Chattopadhyay, Sudipta; Roychoudhury, Abhik
Static analysis of multi-core TDMA resource arbitration delays
International Journal of Time-Critical Computing Systems (Real-Time Systems), 50 (2) :185-229
März 2014
Herausgeber: Springer
DOI:10.1007/s11241-013-9189-x
183.
Rosales, Rafael; Glaß, Michael; Teich, Jürgen
Mahler: Sketch-Based Model-Driven Virtual Prototyping
Proceedings of the International Conference on Architecture of Computing Systems (ARCS), Seite 85-97
International Conference on Architecture of Computing Systems (ARCS)
Lübeck, Germany
Februar 2014
DOI:10.1007/978-3-319-04891-8_8